逻辑综合

编辑
本词条由“匿名用户” 建档。
逻辑综合,抽象电路(的操作的描述寄存器传送级等)的逻辑电路是用于实现设计的步骤。通常,使用诸如VHDL和Verilog之类的硬件描述语言。一些工具会为可编程逻辑设备(例如PAL和FPGA)生成位流。还有一些用于生成ASIC的工具。逻辑综合是EDA的一部分。 逻辑综合工具是用于根据RTL描述来综合门电路(网表)的工具。行为综​​合是一种在上游一级自动化流程的工具。换句话说,它是从以C语...

逻辑综合

编辑

逻辑综合,抽象电路(的操作的描述寄存器传送级等)逻辑电路是用于实现设计的步骤。通常,使用诸如VHDLVerilog之类的硬件描述语言。一些工具会为可编程逻辑设备(例如PALFPGA)生成位流。还有一些用于生成ASIC的工具。逻辑综合是EDA的一部分。

[_img0_]

编辑

行为综合

编辑

逻辑综合工具是用于根据RTL描述来综合门电路(网表)的工具。行为综​​合是一种在上游一级自动化流程的工具。换句话说,它是从以C语言等编写的算法描述(行为描述)中合成RTL描述的工具。操作描述是以类似于正常软件程序的处理顺序对操作进行的描述。另一方面,(将要综合的)RTL描述描述了硬件并行操作,可以认为所有语句都在一个时钟中执行。换句话说,RTL 可以与框图几乎一一对应,因此代表了结构,也被称为“结构描述”。

在行为综合中,从行为描述(例如C描述)创建数据流图,并计划运算符(+,*),数组访问,输入/输出等。有许多工具结合了FSM(有限状态机)和数据路径。近年来(2007年),也有商用工具(美国Cadence的CtoSilicon Compiler,NEC的Cyber​​WorkBench,Mentor的CatapultC,Forte的Cynthesizer等),并且行为综合还用于个人产品,例如手机打印机

内容由匿名用户提供,本内容不代表vibaike.com立场,内容投诉举报请联系vibaike.com客服。如若转载,请注明出处:https://vibaike.com/102541/

(5)
词条目录
  1. 逻辑综合
  2. 行为综合

轻触这里

关闭目录

目录